首页    期刊浏览 2024年10月05日 星期六
登录注册

文章基本信息

  • 标题:Design Choice in 45-nm Dual-Port SRAM — 8T, 10T Single End, and 10T Differential
  • 本地全文:下载
  • 作者:Hiroki Noguchi ; Yusuke Iguchi ; Hidehiro Fujiwara
  • 期刊名称:Information and Media Technologies
  • 电子版ISSN:1881-0896
  • 出版年度:2011
  • 卷号:6
  • 期号:2
  • 页码:296-306
  • DOI:10.11185/imt.6.296
  • 出版社:Information and Media Technologies Editorial Board
  • 摘要:As process technology is scaled down, a large-capacity SRAM will be used. Its power must be lowered. The V th variation of the deep-submicron process affects the SRAM operation and its power. This paper compares the macro area, readout power, and operating frequency among dual-port SRAMs: an 8T SRAM, 10T single-end SRAM, and 10T differential SRAM considering the multi-media applications. The 8T SRAM has the lowest transistor count, and is the most area efficient. However, the readout power becomes large and the access time increases because of peripheral circuits. The 10T single-end SRAM, in which a dedicated inverter and transmission gate are appended as a single-end read port, can reduce the readout power by 74%. The operating frequency is improved by 195%, over the 8T SRAM. However, the 10T differential SRAM can operate fastest (256% faster than the 8T SRAM) because its small differential voltage of 50mV achieves high-speed operation. In terms of the power efficiency, however, the readout current is affected by the V th variation and the timing of sense cannot be optimized singularly among all memory cells in a 45-nm technology. The readout power remains 34% lower than that of the 8T SRAM (33% higher than the 10T single-end SRAM); even its operating voltage is the lowest of the three. The 10T single-end SRAM always consumes less readout power than the 8T or 10T differential SRAM.
国家哲学社会科学文献中心版权所有