首页    期刊浏览 2024年07月04日 星期四
登录注册

文章基本信息

  • 标题:Thermal Control in 3D Liquid Cooled Processors Via Hotspot Separation And Thermoelectric Cooling
  • 本地全文:下载
  • 作者:Yue Hu ; Shaoming Chen ; Lu Peng
  • 期刊名称:International Journal of Computer Science & Information Technology (IJCSIT)
  • 印刷版ISSN:0975-4660
  • 电子版ISSN:0975-3826
  • 出版年度:2015
  • 卷号:7
  • 期号:2
  • 页码:53
  • 出版社:Academy & Industry Research Collaboration Center (AIRCC)
  • 摘要:Microchannel liquid cooling is a promising technique to handling the high temperature problem of threedimensional(3D) processors. There have been a few works which made initial attempts to optimize liquidcooling by utilizing non-uniformly distributed channels, variable flow rate, wider channels, and DynamicVoltage and Frequency Scaling (DVFS) combined with thread migration mechanisms. Although theseoptimizations could be better than a straightforward microchannel liquid cooling design, the cooling of 3Dprocessors is limited due to design-time and run-time challenges. Moreover, in new technologies, theprocessor power density is continually increasing and this will bring more serious challenges to liquidcooling.In this paper, we propose two thermal control techniques to control hotspots in liquid cooled 3Dprocessors: 1) Core Vertically Placed (CVP) technique. According to the architecture of a processor core,two schemes are given for placing a core vertically onto multilayers. The 3D processor with the CVPtechnique can be better cooled since its separate hotspot blocks have a larger contact area with the coolersurroundings. 2) Thermoelectric cooling (TEC) technique. We propose to incorporate the TEC techniqueinto the liquid-cooled 3D processor to enhance the cooling of hotspots. Our experiments show the CVPtechnique reduces the maximum temperature up to 29.58 ºC, and 13.77 ºC on average compared with thebaseline design. Moreover, the TEC technique effectively cools down a hotspot from 96.86 ºC to 78.60 ºC.Furthermore, the CVP technique supports a 30% increase in processor frequency which results in a 1.27times speedup of processor performance
  • 关键词:3D processors; Core Vertical Placed (CVP); Liquid cooling; Thermoelectric Cooling (TEC).
国家哲学社会科学文献中心版权所有