首页    期刊浏览 2024年10月07日 星期一
登录注册

文章基本信息

  • 标题:SmartCell: An Energy Efficient Coarse-Grained Reconfigurable Architecture for Stream-Based Applications
  • 本地全文:下载
  • 作者:Cao Liang ; Xinming Huang
  • 期刊名称:EURASIP Journal on Embedded Systems
  • 印刷版ISSN:1687-3955
  • 电子版ISSN:1687-3963
  • 出版年度:2009
  • 卷号:2009
  • DOI:10.1155/2009/518659
  • 出版社:Hindawi Publishing Corporation
  • 摘要:

    This paper presents SmartCell, a novel coarse-grained reconfigurable architecture, which tiles a large number of processor elements with reconfigurable interconnection fabrics on a single chip. SmartCell is able to provide high performance and energy efficient processing for stream-based applications. It can be configured to operate in various modes, such as SIMD, MIMD, and systolic array. This paper describes the SmartCell architecture design, including processing element, reconfigurable interconnection fabrics, instruction and control process, and configuration scheme. The SmartCell prototype with 64 PEs is implemented using 0.13  μ m CMOS standard cell technology. The core area is about 8.5  m m 2 , and the power consumption is about 1.6 mW/MHz. The performance is evaluated through a set of benchmark applications, and then compared with FPGA, ASIC, and two well-known reconfigurable architectures including RaPiD and Montium. The results show that the SmartCell can bridge the performance and flexibility gap between ASIC and FPGA. It is also about 8% and 69% more energy efficient than Montium and RaPiD systems for evaluated benchmarks. Meanwhile, SmartCell can achieve 4 and 2 times more throughput gains when comparing with Montium and RaPiD, respectively. It is concluded that SmartCell system is a promising reconfigurable and energy efficient architecture for stream processing.

国家哲学社会科学文献中心版权所有